X-Git-Url: https://hackdaworld.org/gitweb/?a=blobdiff_plain;f=led_plex%2Fmain.asm;h=ef668219fe5e1579b073c9bf29dafe52b70a7d81;hb=87ef21d9eacec01abdbf3306dd2493aecf0b4afb;hp=cfa8c2ec9e223d17ce6b97188fd878629ac08a56;hpb=76492de1fddc432eb4bf67df8cec93e00641eb78;p=my-code%2Fatmel.git diff --git a/led_plex/main.asm b/led_plex/main.asm index cfa8c2e..ef66821 100644 --- a/led_plex/main.asm +++ b/led_plex/main.asm @@ -10,6 +10,8 @@ .def tmp1 = r16 .def tmp2 = r17 .def uart_rxtx = r18 +.def hc595_sink = r19 +.def hc595_source = r20 ; ; interrupts @@ -31,13 +33,13 @@ reti reti ; T1 OVF1 -rjmp T1_OVF1 +rjmp T1_OVF ; T0 OVF0 -rjmp T0_OVF0 +rjmp T0_OVF ; UART RX -rjmp UART_RX +rjmp UART_RECEIVE ; UART UDRE reti @@ -72,18 +74,18 @@ reti ; WDT OVF reti - -; include control defines -.include "ctrl.def" +; +; init and main code +; RESET: INIT: - ; port init + ; gio port init rcall PORT_INIT ; timer init - rcall TIMER_INIT + ;rcall TIMER_INIT ; uart init rcall UART_INIT @@ -99,7 +101,14 @@ INIT: ;sei ; signal ready output - ldi uart_rxtx,0x23 + ldi uart_rxtx,0x68 + rcall UART_TX + + ; testing ... DO NOT CONNECT LEDS BY NOW !!! + ldi hc595_sink,0xff + ldi hc595_source,0xff + rcall SET_HC595 + ldi uart_rxtx,0x61 rcall UART_TX MAIN: @@ -118,12 +127,12 @@ MAIN: ; interrupt routines ; -T1_OVF1: +T1_OVF: reti -T0_OVF0: +T0_OVF: reti -UART_RX: +UART_RECEIVE: reti