X-Git-Url: https://hackdaworld.org/gitweb/?p=my-code%2Ffpga.git;a=blobdiff_plain;f=switch2usb%2Fs2u.ucf;fp=switch2usb%2Fs2u.ucf;h=4fa702bb25dcb326b3dcc484984b0f1b25189a99;hp=0000000000000000000000000000000000000000;hb=a62a3973f707bfef2a906f6477403ad95c6090df;hpb=428f6220f2ed679f658e077d8c30cc29958e1f26 diff --git a/switch2usb/s2u.ucf b/switch2usb/s2u.ucf new file mode 100644 index 0000000..4fa702b --- /dev/null +++ b/switch2usb/s2u.ucf @@ -0,0 +1,32 @@ +net "clock" loc="A8"; +net "switch<0>" loc="N15"; +net "switch<1>" loc="J16"; +net "switch<2>" loc="K16"; +net "switch<3>" loc="K15"; +net "switch<4>" loc="L15"; +net "switch<5>" loc="M16"; +net "switch<6>" loc="M15"; +net "switch<7>" loc="N16"; +#net "button" loc="K12"; +net "usb_full" loc="P7"; +net "usb_data<0>" loc="N12"; +net "usb_data<1>" loc="P12"; +net "usb_data<2>" loc="N11"; +net "usb_data<3>" loc="P11"; +net "usb_data<4>" loc="N10"; +net "usb_data<5>" loc="P10"; +net "usb_data<6>" loc="M10"; +net "usb_data<7>" loc="R10"; +net "usb_fifo_addr<0>" loc="P5"; +net "usb_fifo_addr<1>" loc="M7"; +net "usb_write" loc="T5"; +net "usb_pktend" loc="M6"; +net "usb_cs" loc="T8"; +net "led<0>" loc="L14"; +net "led<1>" loc="L13"; +net "led<2>" loc="M14"; +net "led<3>" loc="L12"; +net "led<4>" loc="N14"; +net "led<5>" loc="M13"; +net "led<6>" loc="P14"; +net "led<7>" loc="R16"; \ No newline at end of file